Hello world!

Sitio en constrante construcción…

Es un espacio que recibe contenido migrado de un sitio antigüo y poco a poco más contenido nuevo.

El módito es plasmar apuntes auxiliares o notas útiles en sobre mi quehacer en diversas áreas o tecnologías…

program Hello;

begin
	writeln("Hello, World!\n");
end;
#include <stdio.h>

int main() {
    
  	printf("Hello, World!\n");
    
  	return 0;
}
#include <iostream>

using namespace std;

int main() {
  
  	cout << "Hello World!" << endl;
  
    return 0;
}
class HelloWorld {
	private String message;

  	public HelloWorld() {
      this.message = "Hello World!";
    }
  
  	public void greet() {
      System.out.println(this.message);
    }
}

public class HelloWorldMain {
    public static void main(String[] args) {    
        HelloWorld hello = new HelloWorld();
      	hello.greet();
    }
}
print("Hello, World!\n")
puts "Hello, World!\n"
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity SimpleAndGate is
    port (
        a : in std_logic;
        b : in std_logic;
        and_out : out std_logic
    );
end SimpleAndGate;

architecture Behavioral of SimpleAndGate is
begin
    and_out <= a and b;
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.AndGate.all; 

entity AndGate_tb is
end AndGate_tb;

architecture Behavioral of AndGate_tb is
    -- Signals to test
    signal a, b, and_out : std_logic;
begin
    uut: entity work.SimpleAndGate port map (
        a => a,
        b => b,
        and_out => and_out
    );

    process
    begin
        a <= '0'; b <= '0'; wait for 10 ns;
        a <= '0'; b <= '1'; wait for 10 ns;
        a <= '1'; b <= '0'; wait for 10 ns;
        a <= '1'; b <= '1'; wait for 10 ns;
        wait;
    end process;
end Behavioral;